Welcome![Sign In][Sign Up]
Location:
Search - vhdl vga

Search list

[VHDL-FPGA-VerilogVGA_Core

Description: 用VHDL语言写的VGA核心,是个很好很齐全的核心,有很多功能.-write VHDL VGA core, is a very good subset of the core, has a lot of functions.
Platform: | Size: 359424 | Author: 朱思华 | Hits:

[VHDL-FPGA-VerilogVGA显示的FPGA实现方法

Description: VGA显示的FPGA实现方法,包括原理和一个小例子。-the application of VGA display with FPGA,include theory and example
Platform: | Size: 84992 | Author: 王天权 | Hits:

[VHDL-FPGA-VerilogExp6-VGA

Description: 通过UART从PC主机读取图片数据,并完成图片在VGA显示器上的显示-through UART from the host PC to read image data, and complete picture of the VGA display on the show
Platform: | Size: 681984 | Author: 萧飒 | Hits:

[VHDL-FPGA-Verilogvga

Description: vhdl经典源代码——vga控制,入门者必须掌握-vhdl classical source code-- vga control, beginners must master
Platform: | Size: 801792 | Author: jeffery | Hits:

[VHDL-FPGA-VerilogVHDL-vga_core(vhdl)

Description: VHDL-vga_core(vhdl).rar FPGA上实现 VGA的IP(VHDL)-VHDL-vga_core (vhdl). RarFPGA realize VGA on the IP (VHDL)
Platform: | Size: 458752 | Author: nanotalk | Hits:

[VHDL-FPGA-VerilogVHDL-XILINX-EXAMPLE26

Description: [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现ADC0809的采样电路设计][15--DMA方式A/D采样控制电路设计][16--硬件电子琴][17--乐曲自动演奏][18--秒表][19--移位相加8位硬件乘法器][20--VGA图像显示控制器(彩条)][21--VGA图像显示控制器][22--等精度频率计][23--模拟波形发生器][24--模拟示波器][25--通用异步收发器(UART)][26--8位CPU设计(COP2000)]
Platform: | Size: 3687424 | Author: hawd | Hits:

[VHDL-FPGA-Verilogvga

Description: VHDL书写VGA源码,可用于FPGA和CPLD-VGA source code written in VHDL can be used for FPGA and CPLD
Platform: | Size: 29696 | Author: yuekun | Hits:

[VHDL-FPGA-Verilogvga

Description: 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!-VHDL generated by a VGA color signal process, I hope you can help!
Platform: | Size: 193536 | Author: 白杨 | Hits:

[VHDL-FPGA-VerilogCPLD-VGA

Description: 有关verilog的硬件实现VGA设计的代码。-On the Verilog hardware design realize VGA code.
Platform: | Size: 233472 | Author: qqq | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA的IP核,下载即可用,解压到指定目录下就可以了,参照里面的read me.-VGA
Platform: | Size: 23552 | Author: 王鹏 | Hits:

[VHDL-FPGA-Verilogvga

Description: xilinx 开发板程序,VGA控制显示-Xilinx development board procedures, VGA display control
Platform: | Size: 167936 | Author: zhang | Hits:

[Windows Developvga

Description: 在cyclone2芯片上实现VGA解决方案,可以将模拟示波器波形在电脑上显示。也可以储存波形文件来显示 -In cyclone2 chip VGA solutions, Analog Oscilloscope waveforms can be displayed on the computer. Can also be stored waveform file to display
Platform: | Size: 2048 | Author: 王忠 | Hits:

[VHDL-FPGA-VerilogVGA

Description: FPGA驱动VGA接口显示字符 -FPGA-driven interface VGA display characters
Platform: | Size: 2048 | Author: 随风 | Hits:

[VHDL-FPGA-Verilogvga

Description: 基于EPM1270的VGA显示器接口源码Verilog-Based on the EPM1270
Platform: | Size: 206848 | Author: cx | Hits:

[Graph programVGA

Description: 详细的VGA接口资料-VGA Interface detailed information
Platform: | Size: 246784 | Author: 王之希 | Hits:

[VHDL-FPGA-VerilogVGA

Description: 基于FPGA嵌入式开发实现的VGA接口,已经验证通过。-FPGA-based embedded development to achieve the VGA interface, has been adopted to verify.
Platform: | Size: 4361216 | Author: john | Hits:

[VHDL-FPGA-VerilogVGA

Description: 用fpga实现vga的显示,很好的。能用。分辨率可达1024*680-Using FPGA to achieve vga display, very good. Can be used. Resolution up to 1024* 680
Platform: | Size: 246784 | Author: 朱宝军 | Hits:

[Graph programVGA

Description: 用VERILOG写的VGA显示代码,经本人调试确定可以正常运行-VERILOG written with VGA display code, as I confirmed to be the normal operation of debugging
Platform: | Size: 618496 | Author: 李剑 | Hits:

[VHDL-FPGA-Verilogvga_core(vhdl)

Description: vga视频输出(vhdl),主要是从sdram中产生图形,输出到vga中-vga video outputs [vhdl], mainly arising from the SDRAM graphics, output to vga Medium
Platform: | Size: 459776 | Author: 程荣 | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。-VGA color signal controller design: using VHDL programming language, focusing on the completion of three functions: 1. Chessboard grid pattern shows that: The principle of three-color display on the CRT display by eight color横竖overlapping grid consisting of checkerboard patterns 2. followed by the display on display 0 ~ 9 10 figure: Each figure in different colors, each show around 0.4 seconds, circular display 3. show animation effects: static image to display a high frequency, resulting in animation effects, dynamic display finally OVER The End .
Platform: | Size: 186368 | Author: 刘峰 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 28 »

CodeBus www.codebus.net